首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > DE2 FPGA盒

DE2 FPGA盒

  • 资源大小:558.22 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl DEFPGA

资 源 简 介

FPGA KIT DE2-35 This project outputs a selected voltaje using VGA DAC, the DAC module is controlled using LCD display and buttons.

文 件 列 表

de2_dac_lcd
db
DE2_DAC.asm.rpt
DE2_DAC.done
DE2_DAC.dpf
DE2_DAC.eda.rpt
DE2_DAC.fit.rpt
DE2_DAC.fit.summary
DE2_DAC.flow.rpt
DE2_DAC.map.rpt
DE2_DAC.map.summary
DE2_DAC.pin
DE2_DAC.pof
DE2_DAC.qpf
DE2_DAC.qsf
DE2_DAC.qws
DE2_DAC.sof
DE2_DAC.tan.rpt
DE2_DAC.tan.summary
DE2_DAC.vhd
DE2_DAC.vhd.bak
DE2_DAC_nativelink_simulation.rpt
flash.cmp
flash.map
flash.pof
flash.qip
flash.vhd
incremental_db
simulation
db
DE2_DAC.db_info
DE2_DAC.eco.cdb
DE2_DAC.sld_design_entry.sci
prev_cmp_DE2_DAC.asm.qmsg
prev_cmp_DE2_DAC.eda.qmsg
prev_cmp_DE2_DAC.fit.qmsg
prev_cmp_DE2_DAC.map.qmsg
prev_cmp_DE2_DAC.qmsg
prev_cmp_DE2_DAC.tan.qmsg
VIP VIP
  • 3小时前 成为了本站会员

  • 睥睨 1天前 成为了本站会员

  • ? 1天前 成为了本站会员

  • IATWAY 2天前 成为了本站会员

  • 大智若愚 2天前 成为了本站会员

  • Mason 2天前 成为了本站会员

  • 2天前 成为了本站会员

  • Half_Punch 3天前 成为了本站会员

  • liqing71718 3天前 成为了本站会员

  • 伟国 3天前 成为了本站会员

0.203447s