首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 全数字锁相环的verilog源代码

全数字锁相环的verilog源代码

  • 资源大小:119.90 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL pdf verilog 源代码 数字

资 源 简 介

全数字锁相环的verilog源代码-全数字锁相环的verilog源代码

文 件 列 表

fenpin.v
updown_counter.sym
updown_counter.v
dpll.gdf
edge.gdf
edge.sym
fenpin.sym
read me.txt
数字锁相环设计源程序.rar
VIP VIP
0.172842s