首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 三位计数器与测试平台 请注意: 本例的各个源描述的编译顺序应该是: 53_counter.vhd 53_counte...

三位计数器与测试平台 请注意: 本例的各个源描述的编译顺序应该是: 53_counter.vhd 53_counte...

  • 资源大小:2.04 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 电子书 vhdl

资 源 简 介

三位计数器与测试平台 请注意: 本例的各个源描述的编译顺序应该是: 53_counter.vhd 53_counter_testbench.vhd-Three counters and test platform Please note: This case is described in various sources to compile the order should be: 53_counter.vhd 53_counter_testbench.vhd

文 件 列 表

54_display
54_display.vhd
54_display_stim.vhd
README.TXT
VIP VIP
0.171331s