首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > Using 8

Using 8

  • 资源大小:1.31 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: SCM c++

资 源 简 介

采用8位LED动态扫描时钟演示程序,使用12MHZ晶振,P0口输出段码,P2口作列扫描,用共阳LED数码管。-Using 8-bit dynamic scanning LED clock demonstration, the use of 12MHZ crystal, P0 port output above code, P2 scan I made out with a total of LED digital tube Yang.

文 件 列 表

ssss.c
VIP VIP
0.158843s