首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于VHDL的M序列发生器~大家可以作为参考试用看

基于VHDL的M序列发生器~大家可以作为参考试用看

资 源 简 介

基于VHDL的M序列发生器~大家可以作为参考试用看-VHDL-based M-sequence generator ~ everybody can watch the trial as a reference

文 件 列 表

基于VHDL可编程m序列发生器的研制.pdf
VIP VIP
0.231283s