首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL语言写的,音乐演奏程序,范例歌曲为“青花瓷”片段。...

用VHDL语言写的,音乐演奏程序,范例歌曲为“青花瓷”片段。...

  • 资源大小:683.78 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

用VHDL语言写的,音乐演奏程序,范例歌曲为“青花瓷”片段。-Using VHDL language, and music performance procedures, examples of songs as

文 件 列 表

Speakra
db
Speakra.flow.rpt
Speakra.map.rpt
Speakra.map.summary
Speakra.qpf
Speakra.qsf
Speakra.qws
Speakra.vhd
Songer
db
MUSIC.cmp
MUSIC.vhd
Songer.asm.rpt
Songer.done
Songer.fit.rpt
Songer.fit.smsg
Songer.fit.summary
Songer.flow.rpt
Songer.map.rpt
Songer.map.summary
Songer.pin
Songer.qpf
Songer.qsf
Songer.qws
Songer.tan.rpt
Songer.tan.summary
Songer.vhd
NoteTabs
db
NoteTabs.flow.rpt
NoteTabs.map.rpt
NoteTabs.map.summary
NoteTabs.qpf
NoteTabs.qsf
NoteTabs.qws
NoteTabs.vhd
MUSIC
db
MUSIC.flow.rpt
MUSIC.map.rpt
MUSIC.map.summary
MUSIC.qpf
MUSIC.qsf
MUSIC.qws
MUSIC.vhd
ToneTaba
db
ToneTaba.asm.rpt
ToneTaba.done
ToneTaba.fit.rpt
ToneTaba.fit.smsg
ToneTaba.fit.summary
ToneTaba.flow.rpt
ToneTaba.map.rpt
ToneTaba.map.summary
ToneTaba.pin
ToneTaba.pof
ToneTaba.qpf
ToneTaba.qsf
ToneTaba.qws
ToneTaba.sof
ToneTaba.tan.rpt
ToneTaba.tan.summary
ToneTaba.vhd
EDA.mif
MUSIC.mif
VIP VIP
0.172729s