首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于VHDL语言的并串转换程序,有四位的并行输出转换为串行输出...

基于VHDL语言的并串转换程序,有四位的并行输出转换为串行输出...

资 源 简 介

基于VHDL语言的并串转换程序,有四位的并行输出转换为串行输出-Based on the VHDL language and string conversion process, there are four parallel output is converted to serial output

文 件 列 表

1253.doc
VIP VIP
0.180102s