首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > Verilog&Vhdl混语言对SDRAM的控制源代码,提供了很好的例子,顶层文件为sdrm.v!...

Verilog&Vhdl混语言对SDRAM的控制源代码,提供了很好的例子,顶层文件为sdrm.v!...

资 源 简 介

Verilog&Vhdl混语言对SDRAM的控制源代码,提供了很好的例子,顶层文件为sdrm.v!-VerilogVhdl mixed language SDRAM control of the source code, provided a good example of top-level documents sdrm.v!

文 件 列 表

Verilog&Vhdl混语言对SDRAM的控制源代码
brst_cntr.v
cslt_cntr.vhd
define.v
ki_cntr.v
Mix_scr.prd
Mix_scr.prj
mti_pkg.vhd
rcd_cntr.vhd
ref_cntr.v
sdrm.v
sdrmc_state.vhd
sdrm_t.vhd
sys_int.v
xilinx_lib
2sp-5.tim
xilinx_lib
VIP VIP
0.171439s