首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 该源码为VHDL语言编写的分频器,在W

该源码为VHDL语言编写的分频器,在W

  • 资源大小:108.58 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl 源码 语言 编写 分频器

资 源 简 介

该源码为VHDL语言编写的分频器,在W-4b教学平台上通过验证-The VHDL source for the prescaler languages, W-4b in the teaching platform validated

文 件 列 表

div
db
div.vhd
div.qpf
div.qsf
div.map.rpt
div.flow.rpt
div.map.summary
div.pin
div.fit.rpt
div.fit.summary
div.sof
div.pof
div.asm.rpt
div.tan.summary
div.tan.rpt
div.done
div.qws
VIP VIP
0.171941s