首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL编写的数字钟,在Q

VHDL编写的数字钟,在Q

  • 资源大小:301.63 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

VHDL编写的数字钟,在Q-ii下编译,实现闹铃设置与定时闹铃,分时秒显示-VHDL prepared digital clock, in the Q-ii under the compiler to achieve regular alarm and alarm settings, time-seconds display

文 件 列 表

txxclock
db
mode.vhd
second.vhd
minute.vhd
hour.vhd
selector.vhd
selector12.vhd
selector217.vhd
cmp32B.vhd
txxclock.bdf
txxclock.qpf
txxclock.qsf
selector.bsf
txxclock.done
cmp32B.bsf
hour.bsf
minute.bsf
mode.bsf
second.bsf
selector12.bsf
selector217.bsf
txxclock.map.rpt
txxclock.flow.rpt
txxclock.map.summary
txxclock.pin
txxclock.fit.rpt
txxclock.fit.summary
txxclock.sof
txxclock.pof
txxclock.asm.rpt
txxclock.tan.summary
txxclock.tan.rpt
txxclock.qws
txxclock.vwf
txxclock.sim.rpt
txxclock.vhd
复件 txxclock.vwf
VIP VIP
0.173157s