首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 详细介绍了VHDL的28个程序。从简单到复杂。介绍详细

详细介绍了VHDL的28个程序。从简单到复杂。介绍详细

  • 资源大小:20.29 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

详细介绍了VHDL的28个程序。从简单到复杂。介绍详细-Details of the 28 procedures VHDL. From simple to complex. Detailed introduction

文 件 列 表

28 個 VHDL 小範例
8位相等比較器.txt
8位總線收發器:74245.txt
fifo存儲器舉例:(注3).txt
LED七段譯碼.txt
三人表決器(三種不同的描述方式).txt
三態總線(注2).txt
使用變量的狀態機.txt
偽隨機比特發生器.txt
加法器描述.txt
四D觸發器74175.txt
地址譯碼(for m68008).txt
多路選擇器(使用when-else語句).txt
布斯乘法器.txt
帶三態輸出的8位D寄存器:74374.txt
帶同步復位的狀態機.txt
帶莫爾_米勒輸出的狀態機.txt
最高優先級編碼器.txt
步進電機控制器.vhd
漢明糾錯嗎編碼器.txt
漢明糾錯嗎譯碼器.txt
移位寄存器:74164.txt
米勒形狀態機.txt
經典雙進程狀態機(含test beach).txt
莫爾形狀態機1.txt
莫爾形狀態機2.txt
說明文件.txt
通用寄存器.txt
雙2-4譯碼器:74139.txt
雙向總線(注2).txt
VIP VIP
0.174572s