首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > xilinx 开发板程序,VGA控制显示

xilinx 开发板程序,VGA控制显示

  • 资源大小:164.60 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

xilinx 开发板程序,VGA控制显示-Xilinx development board procedures, VGA display control

文 件 列 表

VGATST.PDF
vgatst
LIB
logiblox.ini
vgacore.abl
vgacore.bak
vgatst.bit
vgatst.prj
vgatst.ucf
vgatst1.SCH
vgatst40.ucf
vgatst95.ucf
LIB
VGATST.BLK
VGATST.DIR
VGATST.FIG
VGATST.FLG
VGATST.GNR
VGATST.HDR
VGATST.ID
VGATST.INI
VGATST.MAP
VGATST.MOD
VGATST.NET
VGATST.PIN
VGATST.SYM
VGATST.SYN
VGATST.VIS
VGAVHDL.BLK
VGAVHDL.DIR
VGAVHDL.FIG
VGAVHDL.FLG
VGAVHDL.GNR
VGAVHDL.HDR
VGAVHDL.ID
VGAVHDL.INI
VGAVHDL.MAP
VGAVHDL.MOD
VGAVHDL.NET
VGAVHDL.PIN
VGAVHDL.SYM
VGAVHDL.SYN
VGAVHDL.VIS
VGAVHDL.PDF
vgavhdl
express
chips
LIB
logiblox.ini
vgacore.bak
vgacore.vhd
vgacore.xnf
vgatst40.ucf
vgatst95.ucf
vgavhdl.bit
vgavhdl.prj
vgavhdl.ucf
vgavhdl1.SCH
express
express.exp
VIP VIP
0.228655s