首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > DES 加密算法的VHDL和VERILOG 源程序及其TESTBENCH。

DES 加密算法的VHDL和VERILOG 源程序及其TESTBENCH。

资 源 简 介

DES 加密算法的VHDL和VERILOG 源程序及其TESTBENCH。-VHDL and VERILOG sourcecode and TESTBENCH of DES encrypting algorithm

文 件 列 表

mos_des
crp.v.txt
des.v.txt
des_test.v.txt
freedes.vhd.txt
key_sel.v.txt
sbox1.v.txt
sbox2.v.txt
sbox3.v.txt
sbox4.v.txt
sbox5.v.txt
sbox6.v.txt
sbox7.v.txt
sbox8.v.txt
testbench.vhd.txt
VIP VIP
0.174619s